Add files via upload

This commit is contained in:
Ikatono
2017-09-06 20:49:31 -04:00
committed by GitHub
parent 70e5eb0ddc
commit 0803783a02
16 changed files with 582 additions and 0 deletions

19
fulladder.vhd Normal file
View File

@@ -0,0 +1,19 @@
library ieee;
use ieee.std_logic_1164.all;
entity fulladder is
port( a: in std_logic;
b: in std_logic;
cin: in std_logic;
s: out std_logic;
cout: out std_logic
);
end fulladder;
architecture behav of fulladder is
begin
cout <= (a and b) or (a and cin) or (b and cin);
s <= a xor b xor cin;
end behav;