mirror of
https://github.com/Ikatono/vhdlFizzBuzz.git
synced 2025-10-29 04:56:12 -05:00
Add files via upload
This commit is contained in:
19
fulladder.vhd
Normal file
19
fulladder.vhd
Normal file
@@ -0,0 +1,19 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
|
||||
|
||||
entity fulladder is
|
||||
port( a: in std_logic;
|
||||
b: in std_logic;
|
||||
cin: in std_logic;
|
||||
|
||||
s: out std_logic;
|
||||
cout: out std_logic
|
||||
);
|
||||
end fulladder;
|
||||
|
||||
architecture behav of fulladder is
|
||||
begin
|
||||
cout <= (a and b) or (a and cin) or (b and cin);
|
||||
s <= a xor b xor cin;
|
||||
end behav;
|
||||
Reference in New Issue
Block a user